CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电子时钟 设计 VHDL

搜索资源列表

  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. clock

    0下载:
  2. 万年历与电子时钟的VHDL程序设计,万年历与电子时钟的VHDL程序设计-clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19175
    • 提供者:徐生
  1. clock

    0下载:
  2. 基于VHDL的电子时钟设计-VHDL-based design of an electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:241827
    • 提供者:peter
  1. vhdl-digital-clock-design

    0下载:
  2. 设计一个具有特定功能的数字电子钟。准确计时,以数字形式显示h、min、s 的时间。小时的计时要求为二十四进位,分和秒的计时要求为六十进位。 该电子钟上电或按键复位后能自动显示系统提示00-00-00,进入时钟准备状态;第一次按电子钟功能键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟功能键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按功能键再次进入时钟运行状态。 -Designed with a specific function of a dig
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:6365
    • 提供者:andy
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. dianzizhong

    0下载:
  2. 电子时钟程序设计与仿真验证,VHDL语言-Clock Electronics Design and Simulation, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:59178
    • 提供者:DAVID
  1. shizhong

    0下载:
  2. 时钟程序设计,为用vhdl语言设计编写的电子时钟显示分秒位-Clock programming, vhdl language designed for use in the preparation of accurate digital electronic clock display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:754
    • 提供者:llyluya
  1. digital_clock

    0下载:
  2. 利用VHDL语言设计一个电子时钟,包含相应的设计子程序及仿真结果-The use of VHDL language design an electronic clock, including the design of the corresponding subroutines and simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:60534
    • 提供者:fengjinhua
  1. 50602

    0下载:
  2. vhdl语言实现电子时钟设计 时分秒 可以设置-vhdl language designed to achieve accurate electronic clock can be set
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:548606
    • 提供者:liu
  1. ledclock

    0下载:
  2. LED电子时钟控制器的VHDL语言程序设计-LED electronic clock controller VHDL Language Program Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:932
    • 提供者:lalo
  1. clock

    0下载:
  2. 电子时钟简单设计模板,内含源代码,并可实现简单计时-Electronic Clock simple design template, containing the source code, and with a simple timing
  3. 所属分类:source in ebook

    • 发布日期:2017-04-25
    • 文件大小:217552
    • 提供者:小毛
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63221
    • 提供者:Zhu
  1. 123

    0下载:
  2. VHDL电子时钟设计论文,利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。-VHDL design of e-paper clock, using a complete inter-chip clock source, buttons, speakers and monitors (digital control) than all the digital circuit functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8356
    • 提供者:zheyu
  1. electronic-clock

    0下载:
  2. 用硬件描述语言设计的电子时钟,VHDL,现代逻辑器件-Hardware descr iption language designed electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:96661
    • 提供者:罗风
  1. dian-zhi-shizhong-vhdl-yu-yan-shiji

    0下载:
  2. 电子时钟VHDL程序与仿真包含了电子时钟设计的全部代码-good good good good good good good good good good good good good good good
  3. 所属分类:Static control

    • 发布日期:2017-03-24
    • 文件大小:59157
    • 提供者:张光强
  1. VHDL

    0下载:
  2. vhdl 电子时钟源代码 全部。 所有模块 的设计 及其代码描述。 可以用来参考设计,使用-vhdl clock Electronic clock all the source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3814485
    • 提供者:喜欢
  1. jy4739_clock

    0下载:
  2. EDA课程设计基于vhdl的带有万年历的电子时钟设计-EDA curriculum design based on the electronic clock with a calendar vhdl design
  3. 所属分类:SCM

    • 发布日期:2017-06-15
    • 文件大小:23868695
    • 提供者:燕子
  1. colcok-VHDL-pdf

    0下载:
  2. 电子时钟VHDL设计与实现,能精确实现电子时钟的功能- Precise electronic clock electronic clock VHDL Design and Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:721344
    • 提供者:janet
  1. EDA

    0下载:
  2. 电子时钟 基于VHDL设计的建议电子时钟-DIGTAL CLOCK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5643172
    • 提供者:李戴维
« 12 »
搜珍网 www.dssz.com